MFB Enabler

ENTITY MFB_ENABLER IS

This component enables sending of MFB frames to the output. For this purpose, it uses the TX_ENABLE port. Enabling starts from the first SOF. Disabling starts from the last EOF in the current word. If this happens in the middle of a frame then all following words are sent until the EOF is found. If in this word is/are other complete frame/s then it/they are sent as well. If this happens when outside of a frame then at least the next frame (can be more) in the first following valid word is still sent to the output. The following packets are discarded. For indication of the region in which discarded frame ends, there is a flag STAT_DISCARDED.

Generics

Generic

Type

Default

Description

=====

MFB CONFIGURATION:

=====

=====

REGIONS

natural

4

any possitive value

REGION_SIZE

natural

8

any possitive value

BLOCK_SIZE

natural

8

any possitive value

ITEM_WIDTH

natural

8

any possitive value

META_WIDTH

natural

8

any possitive value

OUTPUT_REG

boolean

true

only for TX MFB interface, not for STAT

Ports

Port

Type

Mode

Description

=====

CLOCK AND RESET

=====

=====

CLK

std_logic

in

RESET

std_logic

in

=====

INPUT INTERFACE OF MFB PLUS

=====

=====

RX_DATA

std_logic_vector(REGIONS*REGION_SIZE*BLOCK_SIZE*ITEM_WIDTH-1 downto 0)

in

RX_META

std_logic_vector(REGIONS*META_WIDTH-1 downto 0)

in

RX_SOF_POS

std_logic_vector(REGIONS*max(1,log2(REGION_SIZE))-1 downto 0)

in

RX_EOF_POS

std_logic_vector(REGIONS*max(1,log2(REGION_SIZE*BLOCK_SIZE))-1 downto 0)

in

RX_SOF

std_logic_vector(REGIONS-1 downto 0)

in

RX_EOF

std_logic_vector(REGIONS-1 downto 0)

in

RX_SRC_RDY

std_logic

in

=====

TX MFB PLUS INTERFACE WITH ENABLE

=====

=====

TX_DATA

std_logic_vector(REGIONS*REGION_SIZE*BLOCK_SIZE*ITEM_WIDTH-1 downto 0)

out

TX_META

std_logic_vector(REGIONS*META_WIDTH-1 downto 0)

out

TX_SOF_POS

std_logic_vector(REGIONS*max(1,log2(REGION_SIZE))-1 downto 0)

out

TX_EOF_POS

std_logic_vector(REGIONS*max(1,log2(REGION_SIZE*BLOCK_SIZE))-1 downto 0)

out

TX_SOF

std_logic_vector(REGIONS-1 downto 0)

out

TX_EOF

std_logic_vector(REGIONS-1 downto 0)

out

TX_SRC_RDY

std_logic

out

TX_ENABLE

std_logic

in

Enable the MFB stream starting with the next frame. Disable the MFB stream after the last complete frame.

=====

OUTPUT INTERFACE OF STATISTICS

=====

=====

STAT_DISCARDED

std_logic_vector(REGIONS-1 downto 0)

out

Flag of discarded frame for each region. Valid with EOF.