.. _example_doc: Example Documentation ===================== .. vhdl:autopackage:: math_pack .. vhdl:autofunction:: log2 .. vhdl:autoentity:: counter .. vhdl:autoconstants:: counter